3DEC

3DEC(3-Dimension Distinct Element Code)是世界范围内第一款以非连续介质力学模拟作为目标,采用离散单元法作为基本理论进行定制开发并商业化的三维分析程序,特别适用于因不连续界面导致变形和破坏现象的机制性研究,如节理岩体、砌体结构等。类似于FLAC3D与FLAC之间的发展演变关系,3DEC程序承袭了UDEC的基本核心思想,本质上是对二维空间离散介质力学描述向三维空间延伸的结果。

官网:https://www.itascacg.com/software/3dec

——标签修改日期2024年4月22日

粉丝数
3366
VIP会员 学习 福利任务 兑换礼品
下载APP
联系我们
帮助与反馈